Project

General

Profile

Icarus Verilog Bugs » History » Version 2

Sergey Smolov, 04/27/2018 02:54 PM

1 1 Sergey Smolov
h1. Icarus Verilog Bugs
2
3
The bugs are listed here can be reproduced on tests for Verilog Translator project (so called "ieee-tests"). 
4
5
# test_03_08_01_1.v
6
<pre>
7
test_03_08_01_1.v:25: syntax error
8
test_03_08_01_1.v:27: error: malformed statement
9
test_03_08_01_1.v:28: syntax error
10
test_03_08_01_1.v:33: error: malformed statement
11
test_03_08_01_1.v:34: syntax error
12
test_03_08_01_1.v:39: error: malformed statement
13
test_03_08_01_1.v:40: syntax error
14
</pre>
15 2 Sergey Smolov
# test_03_08_01_2.v
16
<pre>
17
test_03_08_01_2.v:25: syntax error
18
test_03_08_01_2.v:27: error: malformed statement
19
test_03_08_01_2.v:28: syntax error
20
test_03_08_01_2.v:32: error: malformed statement
21
test_03_08_01_2.v:33: syntax error
22
</pre>
23 1 Sergey Smolov
# test_03_08_01_7.v
24
<pre>
25
test_03_08_01_7.v:25: syntax error
26
test_03_08_01_7.v:25: error: malformed statement
27
</pre>
28
# test_04_03_01_1.v
29
<pre>
30
test_04_03_01_1.v:24: sorry: trireg nets not supported.
31
</pre>
32
# test_04_03_02_1.v
33
<pre>
34
test_04_03_02_1.v:22: syntax error
35
test_04_03_02_1.v:22: error: invalid module item.
36
test_04_03_02_1.v:23: syntax error
37
test_04_03_02_1.v:23: error: invalid module item.
38
</pre>
39
# test_04_04_01_1.v
40
<pre>
41
test_04_04_01_1.v:22: sorry: trireg nets not supported.
42
test_04_04_01_1.v:23: sorry: trireg nets not supported.
43
test_04_04_01_1.v:25: syntax error
44
test_04_04_01_1.v:25: error: invalid module item.
45
</pre>
46
# test_05_02_01_4.v
47
<pre>
48
test_05_02_01_4.v:54: error: Unable to bind wire/reg/memory `x' in `test'
49
test_05_02_01_4.v:58: error: Unable to bind wire/reg/memory `z' in `test'
50
</pre>
51
# test_05_03_00_1.v
52
<pre>
53
test_05_03_00_1.v:25: warning: choosing typ expression.
54
</pre>
55
# test_06_01_03_1.v
56
<pre>
57
test_06_01_03_1.v:24: sorry: net delays not supported.
58
</pre>
59
# Compile test_07_14_01_1.v
60
<pre>
61
test_07_14_01_1.v:25: warning: choosing typ expression.
62
test_07_14_01_1.v:25: warning: choosing typ expression.
63
test_07_14_01_1.v:25: warning: choosing typ expression.
64
test_07_14_01_1.v:26: warning: choosing typ expression.
65
test_07_14_01_1.v:26: warning: choosing typ expression.
66
test_07_14_01_1.v:26: warning: choosing typ expression.
67
</pre>
68
# test_07_14_01_2.v
69
<pre>
70
test_07_14_01_2.v:26: warning: choosing typ expression.
71
test_07_14_01_2.v:27: warning: choosing typ expression.
72
</pre>
73
# test_07_14_02_2_1.v
74
<pre>
75
test_07_14_02_2_1.v:25: sorry: trireg nets not supported.
76
</pre>
77
# test_07_14_02_2_2.v
78
<pre>
79
test_07_14_02_2_2.v:26: sorry: trireg nets not supported.
80
</pre>
81
# test_08_06_00_1.v
82
<pre>
83
test_08_06_00_1.v:46: syntax error
84
test_08_06_00_1.v:46: error: syntax error in parameter value assignment list.
85
test_08_06_00_1.v:46: error: Invalid module instantiation
86
</pre>
87
# test_09_02_01_1.v
88
<pre>
89
test_09_02_01_1.v:31: error: part select rega[3:5] is reversed.
90
</pre>
91
# test_09_03_02_1.v
92
<pre>
93
test_09_03_02_1.v:32: tgt-vvp sorry: procedural continuous assignments are not yet fully supported. The RHS of this assignment will only be evaluated once, at the time the assignment statement is executed.
94
test_09_03_02_1.v:37: tgt-vvp sorry: procedural continuous assignments are not yet fully supported. The RHS of this assignment will only be evaluated once, at the time the assignment statement is executed.
95
test_09_03_02_1.v:38: tgt-vvp sorry: procedural continuous assignments are not yet fully supported. The RHS of this assignment will only be evaluated once, at the time the assignment statement is executed.
96
</pre>
97
# test_09_03_02_2.v
98
<pre>
99
test_09_03_02_2.v:33: tgt-vvp sorry: procedural continuous assignments are not yet fully supported. The RHS of this assignment will only be evaluated once, at the time the assignment statement is executed.
100
</pre>