Project

General

Profile

Actions

Bug #9603

open

Interface signals not in port list

Added by Mikhail Lebedev about 5 years ago.

Status:
New
Priority:
Normal
Target version:
-
Start date:
04/17/2019
Due date:
% Done:

0%

Estimated time:
Detected in build:
svn
Platform:
Published in build:

Description

The following code contains an error:

module main(clk);
     input clk;
    output RDealer1;
    output RDealer2;

Signals RDealer1, RDealer2, declared as outputs, do not appear in the port list. Veritrans does not handle this as an error.
See VerilogTexas97TestCase#runTest_Blackjack_dp test.
Source code is located in: texas97-tests/Blackjack/dp.v

No data to display

Actions

Also available in: Atom PDF