Project

General

Profile

Actions

Task #5569

closed

support process variable declarations

Added by Sergey Smolov about 9 years ago. Updated almost 9 years ago.

Status:
Closed
Priority:
Normal
Assignee:
Category:
Engine (Parser)
Target version:
Start date:
01/22/2015
Due date:
% Done:

100%

Estimated time:
Detected in build:
svn
Published in build:
20150701

Related issues 1 (0 open1 closed)

Related to Retrascope - Task #5609: make process-local variables be efsm-model-globalRejectedSergey Smolov02/11/2015

Actions
Actions

Also available in: Atom PDF