Project

General

Profile

Icarus Verilog Bugs » History » Version 6

Sergey Smolov, 04/28/2018 11:49 AM

1 1 Sergey Smolov
h1. Icarus Verilog Bugs
2
3 6 Sergey Smolov
The bugs are listed here can be reproduced on tests for Verilog Translator project (so called "ieee-tests").
4 1 Sergey Smolov
5
# test_03_08_01_1.v
6
<pre>
7
test_03_08_01_1.v:25: syntax error
8
test_03_08_01_1.v:27: error: malformed statement
9
test_03_08_01_1.v:28: syntax error
10
test_03_08_01_1.v:33: error: malformed statement
11
test_03_08_01_1.v:34: syntax error
12
test_03_08_01_1.v:39: error: malformed statement
13
test_03_08_01_1.v:40: syntax error
14
</pre>
15 4 Sergey Smolov
*Cause*: attributes for "case" statement branches like "(* full_case, parallel_case *)" are unsupported.
16 2 Sergey Smolov
# test_03_08_01_2.v
17
<pre>
18
test_03_08_01_2.v:25: syntax error
19
test_03_08_01_2.v:27: error: malformed statement
20
test_03_08_01_2.v:28: syntax error
21
test_03_08_01_2.v:32: error: malformed statement
22
test_03_08_01_2.v:33: syntax error
23
</pre>
24 4 Sergey Smolov
*Cause*: attributes for "case" statement branches like "(* full_case *)" are unsupported.
25 3 Sergey Smolov
# test_03_08_01_6.v
26
<pre>
27
test_03_08_01_6.v:25: syntax error
28
test_03_08_01_6.v:25: error: malformed statement
29
</pre>
30 4 Sergey Smolov
*Cause*: attributes for assignments like "a = b + (* mode = "cla" *) c;" are unsupported.
31 1 Sergey Smolov
# test_03_08_01_7.v
32
<pre>
33
test_03_08_01_7.v:25: syntax error
34
test_03_08_01_7.v:25: error: malformed statement
35
</pre>
36 4 Sergey Smolov
*Cause*: attributes for assignments like "a = add (* mode = "cla" *) (b, c);" are unsupported.
37 3 Sergey Smolov
# test_03_08_01_8.v
38
<pre>
39
test_03_08_01_8.v:25: syntax error
40
test_03_08_01_8.v:25: error: malformed statement
41
</pre>
42 4 Sergey Smolov
*Cause*: attributes for assignments like "a = b ? (* no_glitch *) c : d;" are unsupported.
43 1 Sergey Smolov
# test_04_03_01_1.v
44
<pre>
45
test_04_03_01_1.v:24: sorry: trireg nets not supported.
46
</pre>
47 4 Sergey Smolov
*Cause*: cannot parse "trireg (small) storeit;"
48 1 Sergey Smolov
# test_04_03_02_1.v
49
<pre>
50
test_04_03_02_1.v:22: syntax error
51
test_04_03_02_1.v:22: error: invalid module item.
52
test_04_03_02_1.v:23: syntax error
53
test_04_03_02_1.v:23: error: invalid module item.
54
</pre>
55 4 Sergey Smolov
*Cause*: cannot parse "tri1 scalared [63:0] bus64;"
56 1 Sergey Smolov
# test_04_04_01_1.v
57
<pre>
58
test_04_04_01_1.v:22: sorry: trireg nets not supported.
59
test_04_04_01_1.v:23: sorry: trireg nets not supported.
60
test_04_04_01_1.v:25: syntax error
61
test_04_04_01_1.v:25: error: invalid module item.
62
</pre>
63 4 Sergey Smolov
*Cause*: cannot parse "trireg a;"
64 3 Sergey Smolov
# test_04_09_03_1_1.v
65
<pre>
66
test_04_09_03_1_1.v:26: sorry: only 1 dimensional arrays are currently supported.
67
test_04_09_03_1_1.v:28: sorry: only 1 dimensional arrays are currently supported.
68
</pre>
69 4 Sergey Smolov
*Cause*: cannot parse "reg arrayb[7:0][0:255];"
70 3 Sergey Smolov
# test_04_09_03_1_2.v
71
<pre>
72
test_04_09_03_1_2.v:26: sorry: only 1 dimensional arrays are currently supported.
73
test_04_09_03_1_2.v:28: sorry: only 1 dimensional arrays are currently supported.
74
</pre>
75 4 Sergey Smolov
*Cause*: cannot parse "reg arrayb[7:0][0:255];"
76 3 Sergey Smolov
# test_04_10_03_2.v
77
<pre>
78
test_04_10_03_2.v:23: syntax error
79
test_04_10_03_2.v:23: error: invalid module item.
80
test_04_10_03_2.v:24: syntax error
81
test_04_10_03_2.v:24: error: invalid module item.
82
</pre>
83 4 Sergey Smolov
*Cause*: the "specparam" is unsupported.
84 3 Sergey Smolov
# test_05_01_14_1.v
85
<pre>
86
test_05_01_14_1.v:30: error: Array b['sd3:'sd0] cannot be indexed by a range.
87
</pre>
88 4 Sergey Smolov
*Cause*: cannot parse "result = {a, b[3:0], w, 3'b101};"
89 1 Sergey Smolov
# test_05_02_01_4.v
90
<pre>
91
test_05_02_01_4.v:54: error: Unable to bind wire/reg/memory `x' in `test'
92
test_05_02_01_4.v:58: error: Unable to bind wire/reg/memory `z' in `test'
93
</pre>
94 4 Sergey Smolov
*Cause*: cannot parse "addr = x;"
95 3 Sergey Smolov
# test_05_02_02_2.v
96
<pre>
97
test_05_02_02_2.v:25: sorry: only 1 dimensional arrays are currently supported.
98
test_05_02_02_2.v:26: sorry: only 1 dimensional arrays are currently supported.
99
</pre>
100 4 Sergey Smolov
*Cause*: cnnot parse "wire threed_array[0:255][0:255][0:7];"
101 1 Sergey Smolov
# test_05_03_00_1.v
102
<pre>
103
test_05_03_00_1.v:25: warning: choosing typ expression.
104
</pre>
105 4 Sergey Smolov
*Cause*: "parameter val = (32'd 50: 32'd 75: 32'd 100);"
106 1 Sergey Smolov
# test_06_01_03_1.v
107
<pre>
108
test_06_01_03_1.v:24: sorry: net delays not supported.
109
</pre>
110 4 Sergey Smolov
*Cause*: "wire #10 wireA;"
111 3 Sergey Smolov
# test_07_14_01_1.v
112 1 Sergey Smolov
<pre>
113
test_07_14_01_1.v:25: warning: choosing typ expression.
114
test_07_14_01_1.v:25: warning: choosing typ expression.
115
test_07_14_01_1.v:25: warning: choosing typ expression.
116
test_07_14_01_1.v:26: warning: choosing typ expression.
117
test_07_14_01_1.v:26: warning: choosing typ expression.
118
test_07_14_01_1.v:26: warning: choosing typ expression.
119
</pre>
120 6 Sergey Smolov
*Cause*: "bufif0 #(5:7:9, 8:10:12, 15:18:21) b1 (io1, io2, dir);"
121 1 Sergey Smolov
# test_07_14_01_2.v
122
<pre>
123
test_07_14_01_2.v:26: warning: choosing typ expression.
124
test_07_14_01_2.v:27: warning: choosing typ expression.
125
</pre>
126 6 Sergey Smolov
*Cause*: " #(95:100:105) clk = 1;"
127 1 Sergey Smolov
# test_07_14_02_2_1.v
128
<pre>
129
test_07_14_02_2_1.v:25: sorry: trireg nets not supported.
130
</pre>
131 6 Sergey Smolov
*Cause*: "trireg ( large ) #(0,0,50) cap1;"
132 1 Sergey Smolov
# test_07_14_02_2_2.v
133
<pre>
134
test_07_14_02_2_2.v:26: sorry: trireg nets not supported.
135
</pre>
136 6 Sergey Smolov
*Cause*: "trireg ( large ) #(0,0,50) cap1;"
137 1 Sergey Smolov
# test_08_06_00_1.v
138
<pre>
139
test_08_06_00_1.v:46: syntax error
140
test_08_06_00_1.v:46: error: syntax error in parameter value assignment list.
141
test_08_06_00_1.v:46: error: Invalid module instantiation
142 6 Sergey Smolov
*Cause*: "d_edge_ff #p3 d_inst (q, clock, data);"
143 1 Sergey Smolov
</pre>
144 3 Sergey Smolov
# test_08_07_00_1.v
145 1 Sergey Smolov
<pre>
146
test_08_07_00_1.v:30: syntax error
147
</pre>
148 6 Sergey Smolov
*Cause*: "    ?     ?? 01 : ? : 1 ;"
149 3 Sergey Smolov
# test_09_06_00_1.v
150 1 Sergey Smolov
<pre>
151 3 Sergey Smolov
test_09_06_00_1.v:27: syntax error
152
test_09_06_00_1.v:28: error: invalid module item.
153
test_09_06_00_1.v:29: syntax error
154
test_09_06_00_1.v:29: error: Invalid module instantiation
155
test_09_06_00_1.v:30: error: Invalid module instantiation
156
test_09_06_00_1.v:31: error: Invalid module instantiation
157 1 Sergey Smolov
test_09_06_00_1.v:34: error: invalid module item.
158 3 Sergey Smolov
test_09_06_00_1.v:35: syntax error
159
test_09_06_00_1.v:35: error: Invalid module instantiation
160
test_09_06_00_1.v:36: error: Invalid module instantiation
161
</pre>
162 6 Sergey Smolov
*Cause*: "begin : mult"
163 3 Sergey Smolov
# test_09_06_00_2.v
164 1 Sergey Smolov
<pre>
165 3 Sergey Smolov
test_09_06_00_2.v:23: syntax error
166
test_09_06_00_2.v:24: error: invalid module item.
167
test_09_06_00_2.v:25: syntax error
168
test_09_06_00_2.v:25: error: Invalid module instantiation
169 1 Sergey Smolov
test_09_06_00_2.v:26: error: Invalid module instantiation
170 3 Sergey Smolov
test_09_06_00_2.v:29: error: invalid module item.
171
test_09_06_00_2.v:30: syntax error
172
test_09_06_00_2.v:30: error: Invalid module instantiation
173
</pre>
174 6 Sergey Smolov
*Cause*: "begin : count1s"
175 3 Sergey Smolov
# test_09_06_00_3.v
176
<pre>
177
test_09_06_00_3.v:27: syntax error
178
test_09_06_00_3.v:27: Syntax in assignment statement l-value.
179 1 Sergey Smolov
test_09_06_00_3.v:28: syntax error
180 3 Sergey Smolov
test_09_06_00_3.v:28: Syntax in assignment statement l-value.
181
test_09_06_00_3.v:35: syntax error
182 1 Sergey Smolov
test_09_06_00_3.v:35: error: Incomprehensible for loop.
183 3 Sergey Smolov
</pre>
184
# test_09_07_01_2.v
185 6 Sergey Smolov
<pre>
186 3 Sergey Smolov
test_09_07_01_2.v:30: syntax error
187
test_09_07_01_2.v:30: Syntax in assignment statement l-value.
188
</pre>
189 6 Sergey Smolov
# test_09_07_05_1.v
190 3 Sergey Smolov
<pre>
191
test_09_07_05_1.v:31: syntax error
192
</pre>
193 6 Sergey Smolov
# test_09_07_05_2.v
194 3 Sergey Smolov
<pre>
195 1 Sergey Smolov
test_09_07_05_2.v:30: error: port y already has a port declaration.
196 3 Sergey Smolov
test_09_07_05_2.v:29: error: Port ``y'' has already been declared a port.
197
</pre>
198 6 Sergey Smolov
# test_09_07_05_3.v
199 3 Sergey Smolov
<pre>
200
test_09_07_05_3.v:32: internal error: NetProc::nex_input not implemented
201
test_09_07_05_3.v:31: error: Unable to elaborate:
202
      @(test._s0)  // test_09_07_05_3.v:32
203
        {kid} = b[0:0];
204
</pre>
205 6 Sergey Smolov
# test_09_07_05_4.v
206 3 Sergey Smolov
<pre>
207
test_09_07_05_4.v:33: internal error: NetProc::nex_input not implemented
208
</pre>
209 6 Sergey Smolov
# test_09_07_05_5.v
210 3 Sergey Smolov
<pre>
211
test_09_07_05_5.v:32: error: y is not a valid l-value in test.
212
test_09_07_05_5.v:29:      : y is declared here as wire.
213
test_09_07_05_5.v:33: error: y[a] is not a valid l-value in test.
214
test_09_07_05_5.v:29:      : y[a] is declared here as wire.
215
test_09_07_05_5.v:31: warning: @* found no sensitivities so it will never trigger.
216
</pre>
217
# test_09_07_05_6.v
218
<pre>
219
test_09_07_05_6.v:35: error: Unable to bind wire/reg/memory `IDLE' in `test'
220
test_09_07_05_6.v:35: error: Unable to bind wire/reg/memory `READ' in `test'
221
test_09_07_05_6.v:36: error: Unable to bind wire/reg/memory `IDLE' in `test'
222
test_09_07_05_6.v:37: error: Unable to bind wire/reg/memory `READ' in `test'
223
test_09_07_05_6.v:37: error: Unable to bind wire/reg/memory `DLY' in `test'
224
test_09_07_05_6.v:38: error: Unable to bind wire/reg/memory `DLY' in `test'
225
test_09_07_05_6.v:38: error: Unable to bind wire/reg/memory `DONE' in `test'
226
</pre>
227
# test_09_07_06_1.v
228
<pre>
229
test_09_07_06_1.v:32: syntax error
230
test_09_07_06_1.v:33: error: invalid module item.
231
test_09_07_06_1.v:34: syntax error
232
test_09_07_06_1.v:34: error: invalid module item.
233
test_09_07_06_1.v:35: syntax error
234
</pre>
235
# test_09_07_07_1.v
236
<pre>
237
test_09_07_07_1.v:32: syntax error
238
</pre>
239
# test_09_07_07_3.v
240
<pre>
241
test_09_07_07_3.v:28: error: Unable to bind wire/reg/memory `clk' in `test'
242
test_09_07_07_3.v:33: error: Unable to bind wire/reg/memory `clk' in `test'
243 1 Sergey Smolov
</pre>
244 3 Sergey Smolov
# test_09_07_07_4.v
245
<pre>
246 1 Sergey Smolov
test_09_07_07_4.v:29: error: Unable to bind wire/reg/memory `clk' in `test'
247 3 Sergey Smolov
test_09_07_07_4.v:34: error: Unable to bind wire/reg/memory `clk' in `test'
248
test_09_07_07_4.v:35: error: Unable to bind wire/reg/memory `clk' in `test'
249 1 Sergey Smolov
test_09_07_07_4.v:36: error: Unable to bind wire/reg/memory `clk' in `test'
250 3 Sergey Smolov
</pre>
251
# test_09_07_07_9.v
252 1 Sergey Smolov
<pre>
253 3 Sergey Smolov
test_09_07_07_9.v:31: error: Unable to bind wire/reg/memory `data' in `test'
254
</pre>
255 6 Sergey Smolov
# test_09_08_01_3.v
256 1 Sergey Smolov
<pre>
257 3 Sergey Smolov
test_09_08_01_3.v:33: error: event <end_wave> not found.
258
</pre>
259 6 Sergey Smolov
# test_09_08_02_1.v
260 1 Sergey Smolov
<pre>
261 3 Sergey Smolov
test_09_08_02_1.v:35: error: event <end_wave> not found.
262
</pre>
263 6 Sergey Smolov
# test_09_08_04_1.v
264 3 Sergey Smolov
<pre>
265
test_09_08_04_1.v:29: error: event <end_wave> not found.
266
</pre>
267 6 Sergey Smolov
# test_09_08_04_2.v
268 3 Sergey Smolov
<pre>
269
:0: error: Unable to bind wire/reg/memory `Aevent' in `test'
270
:0: error: Unable to bind wire/reg/memory `Bevent' in `test'
271
</pre>
272 6 Sergey Smolov
# test_09_08_04_3.v
273 3 Sergey Smolov
<pre>
274
:0: error: Unable to bind wire/reg/memory `enable_a' in `test'
275
:0: error: Unable to bind wire/reg/memory `enable_b' in `test'
276
</pre>
277 6 Sergey Smolov
# test_09_09_01_1.v
278 3 Sergey Smolov
<pre>
279
test_09_09_01_1.v:27: error: signal and parameter in 'test' have the same name 'size'.
280
</pre>
281 6 Sergey Smolov
# test_09_09_02_1.v
282 3 Sergey Smolov
<pre>
283
test_09_09_02_1.v:26: error: always statement does not have any delay.
284
test_09_09_02_1.v:26:      : A runtime infinite loop will occur.
285
</pre>
286 6 Sergey Smolov
# test_10_01_00_1.v
287 3 Sergey Smolov
<pre>
288
test_10_01_00_1.v:29: syntax error
289
test_10_01_00_1.v:32: error: malformed statement
290
test_10_01_00_1.v:33: syntax error
291
</pre>
292 6 Sergey Smolov
# test_10_01_00_2.v
293 3 Sergey Smolov
<pre>
294 1 Sergey Smolov
test_10_01_00_2.v:27: syntax error
295 3 Sergey Smolov
test_10_01_00_2.v:30: Syntax in assignment statement l-value.
296
test_10_01_00_2.v:31: syntax error
297
</pre>
298 6 Sergey Smolov
# test_10_02_02_1_1.v
299 3 Sergey Smolov
<pre>
300
test_10_02_02_1_1.v:31: error: Could not find variable ``foo1'' in ``test.my_task''
301
test_10_02_02_1_1.v:32: error: Could not find variable ``foo1'' in ``test.my_task''
302 1 Sergey Smolov
test_10_02_02_1_1.v:33: error: Could not find variable ``foo1'' in ``test.my_task''
303 3 Sergey Smolov
test_10_02_02_1_1.v:34: error: Could not find variable ``foo1'' in ``test.my_task''
304
test_10_02_02_1_1.v:35: error: Unable to bind wire/reg/memory `foo1' in `test.my_task'
305
test_10_02_02_1_1.v:36: error: Unable to bind wire/reg/memory `foo2' in `test.my_task'
306
test_10_02_02_1_1.v:37: error: Unable to bind wire/reg/memory `foo3' in `test.my_task'
307
</pre>
308 6 Sergey Smolov
# test_10_02_02_1_2.v
309 1 Sergey Smolov
<pre>
310 3 Sergey Smolov
test_10_02_02_1_2.v:27: error: Could not find variable ``foo1'' in ``test.my_task''
311
test_10_02_02_1_2.v:28: error: Could not find variable ``foo2'' in ``test.my_task''
312
test_10_02_02_1_2.v:29: error: Could not find variable ``foo3'' in ``test.my_task''
313 1 Sergey Smolov
test_10_02_02_1_2.v:30: error: Unable to bind wire/reg/memory `foo1' in `test.my_task'
314 3 Sergey Smolov
test_10_02_02_1_2.v:31: error: Unable to bind wire/reg/memory `foo2' in `test.my_task'
315
test_10_02_02_1_2.v:32: error: Unable to bind wire/reg/memory `foo3' in `test.my_task'
316
</pre>
317 6 Sergey Smolov
# test_10_03_00_1.v
318 3 Sergey Smolov
<pre>
319
test_10_03_00_1.v:25: syntax error
320
test_10_03_00_1.v:26: error: invalid module item.
321
test_10_03_00_1.v:27: syntax error
322
test_10_03_00_1.v:27: error: invalid module item.
323
test_10_03_00_1.v:28: syntax error
324
test_10_03_00_1.v:28: error: Invalid module instantiation
325
</pre>
326 6 Sergey Smolov
# test_10_03_00_2.v
327 3 Sergey Smolov
<pre>
328
test_10_03_00_2.v:27: syntax error
329 1 Sergey Smolov
test_10_03_00_2.v:28: error: invalid module item.
330 3 Sergey Smolov
test_10_03_00_2.v:30: syntax error
331
test_10_03_00_2.v:30: error: invalid module item.
332 1 Sergey Smolov
test_10_03_00_2.v:31: syntax error
333 3 Sergey Smolov
</pre>
334 6 Sergey Smolov
# test_10_03_00_3.v
335 1 Sergey Smolov
<pre>
336 3 Sergey Smolov
test_10_03_00_3.v:30: error: Unable to bind wire/reg/memory `a' in `test.proc_a'
337
test_10_03_00_3.v:30: error: Unable to elaborate condition expression.
338 1 Sergey Smolov
</pre>
339 6 Sergey Smolov
# test_10_03_00_4.v
340 3 Sergey Smolov
<pre>
341
test_10_03_00_4.v:34: syntax error
342
test_10_03_00_4.v:35: error: invalid module item.
343
test_10_03_00_4.v:35: syntax error
344 1 Sergey Smolov
test_10_03_00_4.v:35: error: Invalid module instantiation
345 3 Sergey Smolov
test_10_03_00_4.v:35: error: Invalid module instantiation
346
test_10_03_00_4.v:39: error: Invalid module instantiation
347 1 Sergey Smolov
test_10_03_00_4.v:40: error: Invalid module instantiation
348 3 Sergey Smolov
test_10_03_00_4.v:43: error: invalid module item.
349
test_10_03_00_4.v:44: syntax error
350
test_10_03_00_4.v:44: error: Invalid module instantiation
351
test_10_03_00_4.v:45: error: Invalid module instantiation
352
</pre>
353 6 Sergey Smolov
# test_10_03_00_5.v
354 3 Sergey Smolov
<pre>
355
test_10_03_00_5.v:28: syntax error
356
</pre>
357 6 Sergey Smolov
# test_10_04_05_1.v
358 3 Sergey Smolov
<pre>
359
test_10_04_05_1.v:27: sorry: constant user functions are not currently supported: clogb2().
360
</pre>
361 6 Sergey Smolov
# test_11_05_00_1.v
362 3 Sergey Smolov
<pre>
363
test_11_05_00_1.v:29: error: reg p; cannot be driven by primitives or continuous assignment.
364
</pre>
365 6 Sergey Smolov
# test_12_02_00_1.v
366 3 Sergey Smolov
<pre>
367
test_12_02_00_1.v:54: syntax error
368
</pre>
369 6 Sergey Smolov
# test_12_02_00_2.v
370 3 Sergey Smolov
<pre>
371
test_12_02_00_2.v:28: error: Port a (1) of module foo is not declared within module.
372 1 Sergey Smolov
test_12_02_00_2.v:28: error: Port b (2) of module foo is not declared within module.
373 3 Sergey Smolov
test_12_02_00_2.v:28: error: no wire/reg a in module bar.f1.
374
test_12_02_00_2.v:28: error: no wire/reg b in module bar.f1.
375
</pre>
376 6 Sergey Smolov
# test_12_02_01_1.v
377 1 Sergey Smolov
<pre>
378 3 Sergey Smolov
test_12_02_01_1.v:29: syntax error
379
</pre>
380 6 Sergey Smolov
# test_12_02_02_1_2.v
381 3 Sergey Smolov
<pre>
382
test_12_02_02_1_2.v:28: error: Port addr (1) of module my_mem is not declared within module.
383 1 Sergey Smolov
test_12_02_02_1_2.v:28: error: Port data (2) of module my_mem is not declared within module.
384 3 Sergey Smolov
test_12_02_02_1_2.v:28: error: no wire/reg addr in module top.m.
385
test_12_02_02_1_2.v:28: error: no wire/reg data in module top.m.
386 1 Sergey Smolov
</pre>
387 6 Sergey Smolov
# test_12_03_03_2.v
388 3 Sergey Smolov
<pre>
389 1 Sergey Smolov
test_12_03_03_2.v:46: syntax error
390 3 Sergey Smolov
test_12_03_03_2.v:46: error: missing endmodule or attempt to nest modules.
391
test_12_03_03_2.v:40: error: original module (complex_ports) defined here.
392
test_12_03_03_2.v:52: syntax error
393
test_12_03_03_2.v:52: error: missing endmodule or attempt to nest modules.
394
test_12_03_03_2.v:40: error: original module (complex_ports) defined here.
395
test_12_03_03_2.v:56: syntax error
396
test_12_03_03_2.v:56: error: missing endmodule or attempt to nest modules.
397
test_12_03_03_2.v:40: error: original module (complex_ports) defined here.
398
test_12_03_03_2.v:61: syntax error
399
test_12_03_03_2.v:61: error: missing endmodule or attempt to nest modules.
400
test_12_03_03_2.v:40: error: original module (complex_ports) defined here.
401
test_12_03_03_2.v:65: syntax error
402
test_12_03_03_2.v:65: error: missing endmodule or attempt to nest modules.
403
test_12_03_03_2.v:40: error: original module (complex_ports) defined here.
404
test_12_03_03_2.v:66: error: port a already has a port declaration.
405
test_12_03_03_2.v:62: error: Port ``a'' has already been declared a port.
406
</pre>
407 6 Sergey Smolov
# test_12_03_06_1.v
408 3 Sergey Smolov
<pre>
409
test_12_03_06_1.v:34: error: port ``Out'' is not a port of instance1.
410
test_12_03_06_1.v:34: error: port ``In1'' is not a port of instance1.
411
test_12_03_06_1.v:34: error: port ``In2'' is not a port of instance1.
412
</pre>
413 6 Sergey Smolov
# test_12_03_07_1.v
414 3 Sergey Smolov
<pre>
415
test_12_03_07_1.v:29: error: Scalar port ``net_r'' has a vectored net declaration [64:1].
416
test_12_03_07_1.v:34: error: Scalar port ``net_r'' has a vectored net declaration [64:1].
417 1 Sergey Smolov
test_12_03_07_1.v:36: error: Unable to bind wire/reg/memory `net_r' in `receiver'
418 3 Sergey Smolov
ivl: netmisc.cc:467: void eval_expr(NetExpr*&, int): Assertion `expr' failed.
419
</pre>
420 6 Sergey Smolov
# test_12_04_01_1.v
421 3 Sergey Smolov
<pre>
422
test_12_04_01_1.v:49: syntax error
423 1 Sergey Smolov
</pre>
424 6 Sergey Smolov
# test_12_04_01_2.v
425 3 Sergey Smolov
<pre>
426
test_12_04_01_2.v:39: syntax error
427
</pre>
428 6 Sergey Smolov
# test_12_04_01_5.v
429 3 Sergey Smolov
<pre>
430
test_12_04_01_5.v:35: error: Unknown module type: M3
431
test_12_04_01_5.v:35: error: Unknown module type: M3
432 1 Sergey Smolov
test_12_04_01_5.v:33: error: Unknown module type: M2
433 3 Sergey Smolov
test_12_04_01_5.v:35: error: Unknown module type: M3
434
test_12_04_01_5.v:35: error: Unknown module type: M3
435
test_12_04_01_5.v:33: error: Unknown module type: M2
436
test_12_04_01_5.v:31: error: Unknown module type: M1
437
test_12_04_01_5.v:35: error: Unknown module type: M3
438
test_12_04_01_5.v:35: error: Unknown module type: M3
439
test_12_04_01_5.v:33: error: Unknown module type: M2
440
test_12_04_01_5.v:35: error: Unknown module type: M3
441
test_12_04_01_5.v:35: error: Unknown module type: M3
442
test_12_04_01_5.v:33: error: Unknown module type: M2
443
test_12_04_01_5.v:40: error: Unknown module type: M4
444
test_12_04_01_5.v:40: error: Unknown module type: M4
445
test_12_04_01_5.v:31: error: Unknown module type: M1
446
*** These modules were missing:
447
        M1 referenced 2 times.
448
        M2 referenced 4 times.
449
        M3 referenced 8 times.
450
        M4 referenced 2 times.
451
***
452
</pre>
453 6 Sergey Smolov
# test_12_04_02_1.v
454 3 Sergey Smolov
<pre>
455
test_12_04_02_1.v:46: syntax error
456
test_12_04_02_1.v:46: error: invalid module item.
457
</pre>
458 6 Sergey Smolov
# test_12_04_02_2.v
459 3 Sergey Smolov
<pre>
460
test_12_04_02_2.v:44: error: Wrong number of ports. Expecting 0, got 3.
461
</pre>
462 6 Sergey Smolov
# test_12_04_02_3.v
463 3 Sergey Smolov
<pre>
464
test_12_04_02_3.v:26: error: Cannot evaluate genvar case expression: WIDTH
465
</pre>
466 6 Sergey Smolov
# test_12_04_02_4.v
467 3 Sergey Smolov
<pre>
468
test_12_04_02_4.v:38: error: Unknown module type: sms_08b216t0
469
test_12_04_02_4.v:38: error: Unknown module type: sms_08b216t0
470
test_12_04_02_4.v:38: error: Unknown module type: sms_08b216t0
471
test_12_04_02_4.v:38: error: Unknown module type: sms_08b216t0
472
*** These modules were missing:
473
        sms_08b216t0 referenced 4 times.
474
***
475
</pre>
476 6 Sergey Smolov
# test_12_06_00_1.v
477 3 Sergey Smolov
<pre>
478
test_12_06_00_1.v:34: error: Could not find variable ``b_c1.i'' in ``a._b1''
479
test_12_06_00_1.v:34: error: Could not find variable ``b_c1.i'' in ``d.d_b1''
480
test_12_06_00_1.v:53: error: Could not find variable ``a.a_b1.i'' in ``d''
481
test_12_06_00_1.v:55: error: Could not find variable ``a.a_b1.b_c1.i'' in ``d''
482
test_12_06_00_1.v:56: error: Could not find variable ``d.d_b1.b_c1.i'' in ``d''
483
test_12_06_00_1.v:57: error: Could not find variable ``a.a_b1.b_c2.i'' in ``d''
484 1 Sergey Smolov
</pre>