Project

General

Profile

Actions

Task #9790

open

external names for unnamed generate blocks

Added by Sergey Smolov over 4 years ago.

Status:
New
Priority:
Normal
Target version:
Start date:
08/15/2019
Due date:
% Done:

0%

Estimated time:
Detected in build:
master
Published in build:

Description

12.4.3 External names for unnamed generate blocks
Although an unnamed generate block has no name that can be used in a hierarchical name, it needs to have a
name by which external interfaces can refer to it. A name will be assigned for this purpose to each unnamed
generate block as described in the next paragraph.
Each generate construct in a given scope is assigned a number. The number will be 1 for the construct that
appears textually first in that scope and will increase by 1 for each subsequent generate construct in that
scope. All unnamed generate blocks will be given the name “genblk<n>” where <n> is the number assigned
to its enclosing generate construct. If such a name would conflict with an explicitly declared name, then
leading zeroes are added in front of the number until the name does not conflict.
NOTE—Each generate construct is assigned its number as described in the previous paragraph even if it does not
contain any unnamed generate bocks.

No data to display

Actions

Also available in: Atom PDF