Project

General

Profile

Actions

Task #8982

open

"for" loop unrolling

Added by Sergey Smolov almost 6 years ago. Updated over 5 years ago.

Status:
New
Priority:
Normal
Target version:
Start date:
06/21/2018
Due date:
% Done:

0%

Estimated time:
Detected in build:
master
Published in build:

Description

The problem is related to undefined number of iterations of "for" loops.
In Retrascope it comes to the necessity to calculate it with the help of the external SMT solver.

Actions

Also available in: Atom PDF