Project

General

Profile

Actions

Bug #7883

closed

fifo_testbench.v: java.lang.NullPointerException

Added by Sergey Smolov about 7 years ago. Updated over 6 years ago.

Status:
Closed
Priority:
Normal
Assignee:
Category:
Engine (Transformer)
Target version:
Start date:
01/21/2017
Due date:
% Done:

100%

Estimated time:
Detected in build:
master
Platform:
Published in build:
1.0.1-beta-170912

Description

test\fifo\fifo_testbench.v --target efsm --engine cgaa-efsm-transformer

Including file 'D:\Bot\projects\retrascope.git\build\resources\test\fifo\fifo_testbench.v'
2017.01.21 23:12:29.967. DEBUG: Start observing module fifo_testbench.
2017.01.21 23:12:29.967. DEBUG: add variable clk [(BIT_VECTOR 1)] (Data[type=(BIT_VECTOR 1), value=uninitialized]) to module fifo_testbench.
2017.01.21 23:12:29.967. DEBUG: add variable rst [(BIT_VECTOR 1)] (Data[type=(BIT_VECTOR 1), value=uninitialized]) to module fifo_testbench.
2017.01.21 23:12:29.967. DEBUG: add variable val_rd [(BIT_VECTOR 1)] (Data[type=(BIT_VECTOR 1), value=uninitialized]) to module fifo_testbench.
2017.01.21 23:12:29.967. DEBUG: add variable val_wr [(BIT_VECTOR 1)] (Data[type=(BIT_VECTOR 1), value=uninitialized]) to module fifo_testbench.
2017.01.21 23:12:29.967. DEBUG: add variable data_in [(BIT_VECTOR 32)] (Data[type=(BIT_VECTOR 32), value=uninitialized]) to module fifo_testbench.
2017.01.21 23:12:29.968. DEBUG: add variable val_out [(BIT_VECTOR 1)] (Data[type=(BIT_VECTOR 1), value=uninitialized]) to module fifo_testbench.
2017.01.21 23:12:29.968. DEBUG: add variable data_out [(BIT_VECTOR 32)] (Data[type=(BIT_VECTOR 32), value=uninitialized]) to module fifo_testbench.
2017.01.21 23:12:29.968. DEBUG: add variable is_ready [(BIT_VECTOR 1)] (Data[type=(BIT_VECTOR 1), value=uninitialized]) to module fifo_testbench.
2017.01.21 23:12:29.968. DEBUG: add variable is_full [(BIT_VECTOR 1)] (Data[type=(BIT_VECTOR 1), value=uninitialized]) to module fifo_testbench.
2017.01.21 23:12:29.971. INFO: Storing: cfg

2017.01.21 23:12:29.971. INFO: Running: cfg-cgaa-transformer

2017.01.21 23:12:29.971. INFO: Options: {args=D:\Bot\projects\retrascope.git\build\resources\test\fifo\fifo_testbench.v --target efsm --include-path  --engine cgaa-efsm-transformer --tool-debug-file cgaa-efsm-transformer.log, cfg=<cfg>}

2017.01.21 23:12:29.982. INFO: Storing: cgaa

2017.01.21 23:12:29.982. INFO: Running: cgaa-efsm-transformer

2017.01.21 23:12:29.982. INFO: Options: {cgaa=<cgaa>, args=D:\Bot\projects\retrascope.git\build\resources\test\fifo\fifo_testbench.v --target efsm --include-path  --engine cgaa-efsm-transformer --tool-debug-file cgaa-efsm-transformer.log}

java.lang.NullPointerException
    at ru.ispras.retrascope.engine.cgaa.transformer.efsm.CgaaStateVarDetector.areSignalDependent(CgaaStateVarDetector.java:396)
    at ru.ispras.retrascope.engine.cgaa.transformer.efsm.CgaaStateVarDetector.getDefinedVariables(CgaaStateVarDetector.java:373)
    at ru.ispras.retrascope.engine.cgaa.transformer.efsm.CgaaStateVarDetector.processTerminalStatement(CgaaStateVarDetector.java:338)
    at ru.ispras.retrascope.engine.cgaa.transformer.efsm.CgaaStateVarDetector.onBasicBlockBegin(CgaaStateVarDetector.java:283)
    at ru.ispras.retrascope.model.cfg.CfgDfsWalker.visitBasicBlock(CfgDfsWalker.java:124)
    at ru.ispras.retrascope.model.cfg.CfgDfsWalker.visitModelStatement(CfgDfsWalker.java:67)
    at ru.ispras.retrascope.model.basis.walker.ModelWalker.visitNode(ModelWalker.java:182)
    at ru.ispras.retrascope.model.basis.walker.DfsWalker.visitChildren(DfsWalker.java:77)
    at ru.ispras.retrascope.model.basis.walker.DfsWalker.processChildren(DfsWalker.java:59)
    at ru.ispras.retrascope.model.basis.walker.ModelWalker.processStatement(ModelWalker.java:314)
    at ru.ispras.retrascope.model.cfg.CfgDfsWalker.visitBasicBlock(CfgDfsWalker.java:126)
    at ru.ispras.retrascope.model.cfg.CfgDfsWalker.visitModelStatement(CfgDfsWalker.java:67)
    at ru.ispras.retrascope.model.basis.walker.ModelWalker.visitNode(ModelWalker.java:182)
    at ru.ispras.retrascope.model.basis.walker.DfsWalker.visitChildren(DfsWalker.java:77)
    at ru.ispras.retrascope.model.basis.walker.DfsWalker.processChildren(DfsWalker.java:59)
    at ru.ispras.retrascope.model.basis.walker.ModelWalker.processStatement(ModelWalker.java:314)
    at ru.ispras.retrascope.model.cfg.CfgDfsWalker.visitCase(CfgDfsWalker.java:116)
    at ru.ispras.retrascope.model.cfg.CfgDfsWalker.visitModelStatement(CfgDfsWalker.java:71)
    at ru.ispras.retrascope.model.basis.walker.ModelWalker.visitNode(ModelWalker.java:182)
    at ru.ispras.retrascope.model.basis.walker.DfsWalker.visitChildren(DfsWalker.java:77)
    at ru.ispras.retrascope.model.basis.walker.DfsWalker.processChildren(DfsWalker.java:59)
    at ru.ispras.retrascope.model.basis.walker.ModelWalker.processStatement(ModelWalker.java:314)
    at ru.ispras.retrascope.model.cfg.CfgDfsWalker.visitSwitch(CfgDfsWalker.java:106)
    at ru.ispras.retrascope.model.cfg.CfgDfsWalker.visitModelStatement(CfgDfsWalker.java:69)
    at ru.ispras.retrascope.model.basis.walker.ModelWalker.visitNode(ModelWalker.java:182)
    at ru.ispras.retrascope.model.basis.walker.DfsWalker.visitChildren(DfsWalker.java:77)
    at ru.ispras.retrascope.model.basis.walker.DfsWalker.processChildren(DfsWalker.java:59)
    at ru.ispras.retrascope.model.basis.walker.ModelWalker.processStatement(ModelWalker.java:314)
    at ru.ispras.retrascope.model.basis.walker.ModelWalker.visitProcess(ModelWalker.java:294)
    at ru.ispras.retrascope.model.basis.walker.ModelWalker.visitModule(ModelWalker.java:264)
    at ru.ispras.retrascope.model.basis.walker.ModelWalker.visitModel(ModelWalker.java:236)
    at ru.ispras.retrascope.model.basis.walker.ModelWalker.start(ModelWalker.java:133)
    at ru.ispras.retrascope.engine.basis.ModelWalkerEngine.start(ModelWalkerEngine.java:82)
Actions #1

Updated by Sergey Smolov about 7 years ago

  • Status changed from New to Open
  • Detected in build changed from svn to gadd.model
Actions #2

Updated by Sergey Smolov about 7 years ago

  • Status changed from Open to Resolved
  • % Done changed from 0 to 100

Fixed in 6f3161e0

Actions #3

Updated by Sergey Smolov about 7 years ago

  • Status changed from Resolved to Verified
  • Detected in build changed from gadd.model to master

merged in bb6581d0

Actions #4

Updated by Sergey Smolov over 6 years ago

  • Status changed from Verified to Closed
  • Published in build set to 1.0.1-beta-170912
Actions

Also available in: Atom PDF