Project

General

Profile

Actions

Bug #7412

closed

State consistency constraint violation in ProcessSimulator

Added by Mikhail Lebedev over 7 years ago. Updated over 7 years ago.

Status:
Closed
Priority:
Normal
Assignee:
Category:
Engine (Simulator)
Target version:
Start date:
07/20/2016
Due date:
% Done:

100%

Estimated time:
Detected in build:
master
Platform:
Windows x64
Published in build:
20161025

Description

Command-line arguments:
<path-to-b01>\b01.vhd --target vhdl-testbench;efsm;cgaa --toplevel b01 --output-file b01.smv --check-method bmc --engine smv-test-parser --overwrite-existing

Exception:
Exception in thread "main" ru.ispras.retrascope.basis.exception.RetrascopeRuntimeException: State consistency constraint violation: (EQ 7 0); original was (EQ STATO 0)
at ru.ispras.retrascope.engine.efsm.simulator.ProcessSimulator.checkInnerConsistency(ProcessSimulator.java:262)
at ru.ispras.retrascope.engine.efsm.simulator.ModuleSimulator.checkInnerConsistency(ModuleSimulator.java:340)
at ru.ispras.retrascope.engine.efsm.simulator.ModuleSimulator.simulateVector(ModuleSimulator.java:145)
at ru.ispras.retrascope.engine.efsm.simulator.ModuleSimulator.simulateSequence(ModuleSimulator.java:165)
at ru.ispras.retrascope.engine.efsm.simulator.EfsmSimulatorUtils.collectCoverage(EfsmSimulatorUtils.java:74)
at ru.ispras.retrascope.engine.efsm.generator.test.TestMinimiser.minimise(TestMinimiser.java:131)
at ru.ispras.retrascope.engine.smv.test.parser.SmvTestParser.start(SmvTestParser.java:102)
at ru.ispras.retrascope.basis.Engine.start(Engine.java:214)
at ru.ispras.retrascope.basis.ToolChain.start(ToolChain.java:112)
at ru.ispras.retrascope.basis.Engine.start(Engine.java:214)
at ru.ispras.retrascope.Retrascope$ToolRun.start(Retrascope.java:207)
at ru.ispras.retrascope.Retrascope.main(Retrascope.java:414)
at ru.ispras.retrascope.Retrascope.main(Retrascope.java:367)

Actions #1

Updated by Sergey Smolov over 7 years ago

  • Status changed from New to Open
  • Platform set to Windows x64
Actions #2

Updated by Sergey Smolov over 7 years ago

  • Status changed from Open to Resolved
  • % Done changed from 0 to 100

Done in 049ac560.

Actions #3

Updated by Sergey Smolov over 7 years ago

  • Target version set to 0.2
Actions #4

Updated by Mikhail Lebedev over 7 years ago

  • Status changed from Resolved to Verified
Actions #5

Updated by Sergey Smolov over 7 years ago

  • Status changed from Verified to Closed
  • Detected in build changed from svn to master
  • Published in build set to 20161025
Actions

Also available in: Atom PDF