Project

General

Profile

Actions

Feature #8260

closed

VHDL record support (non-aggregate case)

Added by Sergey Smolov almost 7 years ago. Updated over 4 years ago.

Status:
Closed
Priority:
Normal
Assignee:
Maxim Chudnov
Category:
Engine (Parser)
Target version:
Start date:
06/24/2017
Due date:
% Done:

100%

Estimated time:
Published in build:
1.1.1-beta-190722
Actions #1

Updated by Sergey Smolov over 6 years ago

  • Target version changed from 0.2 to 1.0
Actions #2

Updated by Sergey Smolov about 5 years ago

  • Assignee changed from Sergey Smolov to Maxim Chudnov
Actions #3

Updated by Sergey Smolov about 5 years ago

  • Subject changed from VHDL structures support to VHDL records (structures) support
Actions #4

Updated by Maxim Chudnov over 4 years ago

  • Status changed from New to Resolved
  • % Done changed from 0 to 100
Actions #5

Updated by Sergey Smolov over 4 years ago

  • Subject changed from VHDL records (structures) support to VHDL record support (non-aggregate case)
  • Status changed from Resolved to Verified

This feature is related to simple (non-aggregate) case of records usage in VHDL modules.

Actions #6

Updated by Sergey Smolov over 4 years ago

  • Status changed from Verified to Closed
  • Published in build set to 1.1.1-beta-190722
Actions

Also available in: Atom PDF