Project

General

Profile

Actions

Task #5859

closed

Названия модулей, извлекаемых из VHDL-описания

Added by Igor Melnichenko about 9 years ago. Updated almost 9 years ago.

Status:
Closed
Priority:
Normal
Assignee:
Category:
Engine (Parser)
Target version:
Start date:
04/18/2015
Due date:
% Done:

100%

Estimated time:
Detected in build:
svn
Published in build:
20150701

Description

Предлагаю использовать в качестве названий модулей только имена соответствующих entity из VHDL-описания. Например, чтобы из b01.vhd извлекался модуль с названием B01, а не WORK.B01.

Actions #1

Updated by Sergey Smolov about 9 years ago

  • Status changed from New to Open
Actions #2

Updated by Sergey Smolov about 9 years ago

  • Category changed from Engine (Transformer) to 64
Actions #3

Updated by Sergey Smolov about 9 years ago

  • Status changed from Open to Resolved
  • % Done changed from 0 to 100

Done in r1834.

Actions #4

Updated by Igor Melnichenko about 9 years ago

  • Status changed from Resolved to Verified
Actions #5

Updated by Sergey Smolov almost 9 years ago

  • Status changed from Verified to Closed
  • Published in build set to 20150701
Actions

Also available in: Atom PDF